[Hackrf-dev] why cpld code adc_data_i xor 0x80 0x7F, what is this mean

hustpigeon at qq.com
Sun Nov 5 08:39:40 EST 2017


process(host_clk_i)
 begin
 if rising_edge(host_clk_i) then
 if codec_clk_i = '1' then
 -- I: non-inverted between MAX2837 and MAX5864
 data_to_host_o <= adc_data_i xor X"80";
 else
 -- Q: inverted between MAX2837 and MAX5864
 data_to_host_o <= adc_data_i xor rx_q_invert_mask;
 end if;
 end if;
 end process;
-------------- next part --------------
An HTML attachment was scrubbed...
URL: <https://pairlist9.pair.net/pipermail/hackrf-dev/attachments/20171105/e30671a1/attachment.html>


More information about the HackRF-dev mailing list